Timer with Interrupts

Tutorial Overview In this tutorial we will add code to a peripheral template generated by the Peripheral Wizard to create a simple timer. The peripheral will generate an interrupt when the timer expires. The Microblaze will process the interrupt through an interrupt handler function which gets called whenever the interrupt occurs. In this example, we will make the LEDs flash by using the interrupt handler function to switch the state of the LEDs and reset the timer. [Read More]

Timer with Interrupts

Overview In this tutorial we will improve on the Timer peripheral developed in Create a Simple Timer Peripheral. The improvement is achieved by enabling the peripheral to generate an interrupt when the timer expires. The PowerPC then processes the interrupt through an interrupt handler function which gets called whenever the interrupt occurs. In this example, the interrupt handler function will switch the state of the LEDs and reset the timer. [Read More]

The PowerPC

Hard Processor The PowerPC is a “hard” processor built into the Virtex II Pro FPGA. This is opposed to a “soft” processor that is implemented in a hardware description language (HDL) such as the Microblaze processor from Xilinx. The projects in this document focus on using the PowerPC with peripherals implemented in VHDL. The peripherals will be either provided by the Xilinx software or designed by the FPGA developer. The Link to Peripherals: OPB and PLB [Read More]

XPS Software

Version

Most of the projects on this website are built using Xilinx Platform Studio EDK.

Navigation

The image below illustrates the locations of a few features that are mentioned in the tutorials.

Figure: XPS Screenshot