Create a custom PYNQ overlay for PYNQ-Z1


In this video tutorial we create a custom PYNQ overlay for the PYNQ-Z1 board. Probably the simplest PYNQ overlay possible, it contains one custom IP (an adder) with an AXI-Lite interface and three registers accessible over that interface: a, b and c. To use the IP we write a number to input registers a and b, and then we read the output register c which contains the sum of a and b. We create the IP in Vivado HLS, we then create the overlay in Vivado and bring the IP into our block design. Then we copy the overlay files (.bit and .tcl) over the network and onto the SD card of the PYNQ-Z1 board. Finally we open the Jupyter web application from a web browser and we write some Python code to test out our overlay and custom IP.

The tutorial is based on the one in the PYNQ online documentation here: PYNQ Overlay Tutorial. I suggest you refer to the tutorial for the code blocks but I recommend that you also read through it (before or after the video) to allow the concepts to sink in.

In this tutorial, the custom IP doesn’t make a good accelerator but it’s useful for demonstrating some of the basic PYNQ concepts such as the Overlay and DefaultIP drivers. In the next tutorial we’ll design an IP that can process a block of data, and hook it up to a DMA so that we can demonstrate real speed gains by offloading work to the FPGA.


See also